ASIC được hiểu như thế nào?

Đối với những định nghĩa khác, xem ASIC ( khuynh hướng ) Các chip Mạch tích hợp cho ứng dụng đơn cử ( ASIC ) .

ASIC (phát âm: ay-sik), viết tắt của application-specific integrated circuit trong tiếng Anh, là một thuật ngữ chỉ các vi mạch tích hợp chuyên dụng trong điện tử học.

ASIC là một vi mạch IC được thiết kế dành cho một ứng dụng cụ thể. ASIC ngày nay được ứng dụng hầu như khắp mọi nơi, ví dụ như vi xử lý của điện thoại di động, vi xử lý trong các máy móc tự động, các phương tiện truyền thông, xe cộ, tàu vũ trụ, các hệ thống xử lý, các dây chuyền công nghiệp, v.v..

ASIC được hiểu như thế nào?

Bạn đang đọc: ASIC được hiểu như thế nào?

Đối lập với ASIC là những vi mạch tích hợp cho mục tiêu chung như 7400 series và 4000 series những vi mạch này hoàn toàn có thể link để tạo ra nhiều ứng dụng khác nhau. Ngoài ra vì tính tương đối của việc phân loại theo mục tiêu sử dụng mà còn có thêm khái niệm application specific standard product ( ASSP ) – thiết bị chuẩn cho ứng dụng đặc biệt quan trọng, chỉ đến những vi mạch nằm giữa hai khái niệm ASIC và vi mạch tích hợp cho mục tiêu chung .Khái niệm ASIC là một khái niệm tương đối rộng và tương quan đến công nghệ tiên tiến phong cách thiết kế sản xuất IC chứ không phải gắn với một dòng mẫu sản phẩm hay thiết bị đơn cử nào .Bản thiết kế ASIC thường được viết trên ngôn từ miêu tả phần cứng như Verilog, VHDL. Dựa theo công nghệ tiên tiến sản xuất và phong cách thiết kế, ta hoàn toàn có thể chia ASIC thành những loại cơ bản như sau :

ASIC trên cơ sở thư viện phần tử logic chuẩn (standard-cell-based ASIC)ASIC dựa trên mảng lôgíc (gate-array-based ASIC)ASIC đặc chế hoàn toàn (full-custom ASIC)ASIC tiền cấu trúc (structured/platform design)ASIC sử dụng thư viện phần tử logic và các phần tử thiết kế sẵn (cell libraries, IP-based design)

ASIC trên cơ sở thư viện thành phần logic chuẩn

Vào giữa thập niên 1980, những người phong cách thiết kế IC thường phải chọn một đơn vị sản xuất bán dẫn nhất định và triển khai toàn bộ quá trình phong cách thiết kế của mình bằng những công cụ phong cách thiết kế của đơn vị sản xuất đó .Cùng với Open của nhà sản xuất công cụ phong cách thiết kế thứ ba là sự sinh ra của khái niệm thư viên thành phần logic chuẩn ( standard cell library ) .Thư viện thành phần logic chuẩn là thư viện tổng thể những thành phần cơ bản tạo thành vi mạch như logic AND, OR, XOR, v.v., kèm theo những thông số kỹ thuật vật lý như thời hạn trễ, điện cảm, điện dung, v.v.. Thư viện này được định dạng chuẩn được cho phép những công cụ phong cách thiết kế hoàn toàn có thể đọc, sau đó sẽ biên dịch bản phong cách thiết kế ra dạng miêu tả cụ thể sử dụng những thành phần của thư viện chuẩn ( thuật ngữ tiếng Anh gọi là netlist ) .

Vào cuối thập niên 1980, những phần mềm thiết kế dạng như design compiler của Synopsys được đưa vào sử dụng. Sở dĩ có tên gọi compiler là do phần mềm này làm việc về nguyên tắc không khác gì một trình biên dịch thông thường trên máy tính: thay vì mã viết bằng C, Pascal, v.v., vi mạch được viết bằng HDL, còn thư viện phần tử logic đóng vai trò như tập lệnh của bộ vi xử lý.

ASIC dựa trên mảng logic

Sử dụng mảng logic (gate array) là một phương pháp khác để thiết kế IC. Ở đây “gate” sử dụng như một đơn vị để đo khả năng chứa các phần tử logic của vi mạch bán dẫn (xem thêm về logic gate).

Vi mạch lập trình sử dụng mảng logic là một khái niệm rộng bao hàm những thiết bị như PLA, PAL, CPLD, FPGA. FPGA là một công nghệ tiên tiến mới nhưng cũng được xếp vào dạng này, mặc dầu thường thì người phong cách thiết kế xếp FPGA sang lớp vi mạch bán dẫn người lập trình bởi người sử dụng cuối ( xem FPD ) .Một vi mạch dạng này cấu thành từ 2-5 lớp bán dẫn sắt kẽm kim loại, những thành phần logic được phân bổ đều trên những lớp này. Ví dụ : ma trận những thành phần OR, AND PAL, PLA, hay trong FPGA là những khối logic lập trình được. Các thành phần logic chưa được liên kết với nhau, quy trình phong cách thiết kế thực chất là lập trình để liên kết giữa những thành phần logic .

Ưu điểm của ASIC thiết kế theo kiểu này là chi phí sản xuất thấp do vi mạch sản được xuất hàng loạt và có cấu trúc tương tự như nhau. Tính linh động của thiết kế phụ thuộc vào loại mảng logic được sử dụng. Ví dụ: vi mạch lập trình sử dụng PROM chỉ được lập trình một lần duy nhất trong khi với vi mạch sử dụng công nghệ EEROM hay FPGA thì có thể lập trình lại. FPGA còn cho phép người thiết kế lập trình lại mà không cần công cụ đặc biệt nào.

Mặc dù ASIC sử dụng mảng logic có ngân sách thấp nhưng không có được sự tối ưu như ASIC trên cơ sở thư viện thành phần logic hay ASIC đặc chế trọn vẹn. Tuy thế, những dạng ASIC này nhu yếu ứng dụng phong cách thiết kế phức tạp hơn .

ASIC đặc chế trọn vẹn

Công nghệ sản xuất ASIC đặc chế hoàn toàn bào hàm toàn bộ các quá trình để sản xuất IC, kể cả giai đoạn in khắc bán dẫn quang học (photo lithographic).

Ưu điểm của mẫu sản phẩm dạng này là tính tối ưu về diện tích quy hoạnh, hiệu suất thao tác của IC cao hơn, năng lực tích hợp tốt hơn với những thiết bị tựa như hay những thành phần phong cách thiết kế sẵn khác. Bù lại thì quá trình phong cách thiết kế tốn nhiều thời hạn, yên cầu góp vốn đầu tư cơ sở vật chất tốn kém cũng như nhân lực với trình độ cao .

ASIC tiền cấu trúc

Đây là một dạng biến tấu của ASIC trên cơ sở mảng logic : thay vì mảng logic, nó sử dụng những cấu trúc định sẵn. Tùy vào từng loại ứng dụng mà cấu trúc định sẵn này sẽ khác nhau .Với mảng logic thì người phong cách thiết kế hoàn toàn có thể tạo vi mạch để thực thi bất kể hàm logic nào trong tài nguyên được cho phép, còn với vi cấu trúc định sẵn chỉ xử lý một lớp bài toán hẹp hơn. Bù lại, nó đẩy nhanh quy trình sản xuất, giảm giá tiền và trong một vài ít trường hợp thì tối ưu hóa hơn so với dạng ASIC sử dụng mảng logic .Có thể xếp ASIC tiền cấu trúc nằm giữa hai loại ASIC trên cơ sở thư viện thành phần logic chuẩn và ASIC dựa trên mảng logic .

Bên cạnh FPGA đúng nghĩa còn tồn tại một dạng vi mạch thiết kế trên FPGA nhưng khi sản xuất sử dụng công nghệ sao chép “cứng” (hard copy); sản phẩm cho ra hoạt động vẫn như thiết kế nhưng mất đi khả năng lập trình lại vì các liên kết đã bị được “hàn” cứng. Công nghệ này cho phép giảm chi phí cho vi mạch từ 30-70%. Các hãng sản xuất FPGA lớn như Xilinx hay Altera đều hỗ trợ công nghệ này cho sản phẩm của họ.

ASIC sử dụng thư viện logic và những thành phần phong cách thiết kế sẵn

Nếu như thư viện logic thường được nhà sản xuất bán dẫn cung cấp miễn phí thì IP-core (intellectual property core), các phần tử hoặc của nhà sản xuất hoặc của bên thứ ba cung cấp, người sử dụng phải trả tiền mới được phép sử dụng. Các phần thiết kế có thể tồn tại ở dạng “mềm”, tức là chỉ có mã HDL mức cao, hay ở dạng “cứng”, tức là toàn bộ sơ đồ thiết kế chi tiết trên một thư viện cụ thể và sẵn sàng đưa trực tiếp vào quá trình sản xuất bán dẫn.

Tương tự như ứng dụng, với phần cứng cũng Open hội đồng mở, là nơi kiến thiết xây dựng và phổ cập những IP-core không tính tiền .

Ví dụ: IP-cores nhân của bộ vi xử lý, USB module, Ethernet, RAM, ROM, v.v..

FPD (Field-Programmable Device)PLA (Programable Logic Array)PAL (Programable Array Logic)SPLD (Simple Programable Logic Device)CPLD (Complex Programble Logic Device)FPGA (Field-Programable Gate Aray)HCPLD (High-Capacity PLD): refers to both FPGA and CPLD.

0 Shares
Share
Tweet
Pin